说明:双击或选中下面任意单词,将显示该词的音标、读音、翻译等;选中中文或多个词,将显示翻译。
您的位置:首页 -> 词典 -> 线性预编码器/译码器
1)  linear precoder/decoder
线性预编码器/译码器
2)  Linear precoding/decoding
线性预编码/译码
3)  coder & decoder of linear array code
线性分组码编译码器
1.
Moreover it shows the advantages of Verilog HDL at complicated circuit design by designing coder & decoder of linear array code.
并以线性分组码编译码器的具体设计实现说明了Verilog HDL设计的程序结构清晰,无需考虑具体电路的实现,大大减少了设计人员的工作量,提高了设计的准确性和效率。
4)  LPC Linear Predictive Coding(-er)
线性预测编码(器)
5)  codesc;coder-decoder
编码-译码器
6)  decoder and encoder unit
译码编码器
补充资料:编码器


编码器
encoder

  b旧nmoq,编码器(e ncoder)能将每一根输人线上的信号转换成输出端上的一个对应代码,即实现输人信号编码功能的组合逻挥电路。它广泛用于逻辑电路中。 图示是一个8线一3线编码器的逻辑图,其中I。~I:是八个输人端,YZ、Yl、Y。是三个输出端。当在输人端加上逻辑l信号时,便在YZ、Y、、Y。给出一个对应的三位二进制代码。输人信号与输出代码的省昔阵矛10一卜一h8线一3线编码器的逻辑图对应关系如表1所示。衰1圈中电路的功能裹┌───────────┬────┐│101一12 13 10 101‘17 │YZ Yz Yo│├───────────┼────┤│1 0 0 0 0 0 00 │0 00 │├───────────┼────┤│0 1 0 0 0 0 00 │0 01 │├───────────┼────┤│0 0 1 0 0 0 00 │0 10 │├───────────┼────┤│0 0 0 1 0 0 00 │0 11 │├───────────┼────┤│0 0 0 0 1 0 00 │1 00 │├───────────┼────┤│0 0 0 0 0 1 00 │1 01 │├───────────┼────┤│0 0 0 0 0 0 10 │1 10 │├───────────┼────┤│0 0 0 0 0 0 01 │1 11 │└───────────┴────┘ 在图示编码电路中,任何时候只允许有一个输人信号是l,否则电路不能正常工作。为克服这种局限性,便产生了优先编码器(priority eneoder)。设计优先编码器电路时,预先把所有的输人信号按优先权的高、低排队,当两个以上输人信号同时为1时,只对其中优先权最高的一个进行编码。表2是8线一3线优先编码器功能表,表中的“x”表示既可以是逻辑。,也可以是逻辑l。从表2中不难看出,输人信号I,的优先权最高,I。的优先权最低。例如当17~l时,无论I。~1。当中还有多少个是1,电路只对卜进行编码,使输人为Y:Y IYo=111。
说明:补充资料仅用于学习参考,请勿用于其它任何用途。
参考词条