说明:双击或选中下面任意单词,将显示该词的音标、读音、翻译等;选中中文或多个词,将显示翻译。
您的位置:首页 -> 词典 -> 整数分频器
1)  integer frequency divider
整数分频器
2)  half integer frequency divider
半整数分频器
1.
The design of half integer frequency divider with VHDL;
整数分频器的VHDL设计
3)  half-integral division
半整数分频
4)  non-integer frequence division
非整数分频
5)  fractional frequency divider
分数分频器
6)  integer frequency synthesizer
整数频率合成器
1.
The output signal frequency of integer frequency synthesizer is the integral multiple of reference signal frequency,Loop frequency resolution is the reference frequency.
整数频率合成器输出信号的频率是参考信号频率的整数倍,环路的频率分辨率为参考频率。
补充资料:分频器
分频器
frequency divider

   使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用 。对于任何一个 N 次分频器,在输入信号不变的情况下,输出信号可以有N 种间隔为2πN 的相位。这种现象是分频作用所固有的,与分频器的具体电路无关,称为分频器输出相位多值性。脉冲分频器有很宽的工作频带,低频端实际上没有限制,高端极限频率主要决定于使用的器件,但也与电路有关系。
说明:补充资料仅用于学习参考,请勿用于其它任何用途。
参考词条