说明:双击或选中下面任意单词,将显示该词的音标、读音、翻译等;选中中文或多个词,将显示翻译。
您的位置:首页 -> 词典 -> 乘法累加单元
1)  Multiply-accumulator
乘法累加单元
2)  MAC(Multiply and Accumulate)
乘累加单元
3)  multiplying accumulating unit
累积乘法单元
1.
Design of a high-performance multiplying accumulating unit;
高性能累积乘法单元的设计
4)  multiply and accumulate unit (MAU)
乘法及累积单元
5)  MAC
乘加单元
1.
DSP-enhanced MAC unit for ARM microprocessor;
一种用于ARM处理器的增强DSP乘加单元
6)  multiplier-accumulator
乘法-累加器
1.
This architecture applies multiplier-accumulator based on the distributed algorithm and the pipeline technique,dismisses the multiplication.
针对IDCT运算复杂的特点,提出了一种在FPGA平台上实现快速IDCT算法的结构,采用Loeffler等人的算法,该结构运用基于分配算法(DA)的乘法-累加器(MAC)结构以及流水线技术,消除了乘法运算。
补充资料:累加异常
分子式:
CAS号:

性质:用一组特征指示元素的含量规格化后的累加值绘制的组合异常。

说明:补充资料仅用于学习参考,请勿用于其它任何用途。
参考词条